Monday, August 10, 2009

EDA CEOs

There have been several earlier reports and commentaries on the annual EDA CEO panel at DAC, but they are either strongly negative (Peggy Aycinena's "kill-me-now", Dan Nenni's "sleeping room only") or necessarily incomplete (Dylan McGrath and Nicolas Mokhoff at EETimes). It was a long session, and after listening to it a few times, as well as attending live, I see a lot of material that deserves more attention and discussion.

You can watch a video of the entire 1hr:15min discussion on the DAC website. Panel organizer professor Andrew Kahng also explains that he really was taking SMS messages on EDA Design Line. (That was a surprise, looked fake to me). Perhaps the "traditional" press may have seen the questions that were asked as "softballs", since they were not running the show. But I think there is value in hearing what the CEOs of Cadence, Mentor and Synopsys had to say, and that it is best gleaned by taking the time to break it all down.

Herein then, is my "random access" analysis of the DAC CEO panel. You can save time by going directly to any of the 15 questions that were asked during the panel.

PLEASE NOTE: To get the full benefit, you must be using the Firefox browser directly on my blog site. (Sorry, if IE is still your browser).

1.Introduction
2.What will be the impact of the economic downturn?
3.What are customer CEOs saying?
4.Innovation and venture capital investment in fabless companies
5.What advice do you have for EDA entrepreneurs?
6.How strong is the trend to customer consolidation?
7.Do you agree that EDA could get a larger share of semiconductor revenue?
8.Design services and silicon IP
9.Do you see EDA moving into automating design in other industries?
10.Is EDA addressing 3D?
11.What are you doing operationally to deal with market pressures?
12.What is being done to develop EDA talent?
13.How to keep students working on EDA problems?
14.What is the next silver bullet to have a substantial impact on design costs?
15.Closing comments

1. Introduction

Chair: Juan-Antonio Carballo - IBM Corp.

Panelists:
Aart de Geus - Synopsys, Inc.
Walden C. Rhines - Mentor Graphics Corp.
Lip-Bu Tan - Cadence Design Systems, Inc.



Top


2. What will be the impact of the economic downturn?
Wally: Had 1st year of "negative growth" in EDA. It is a period of opportunity.
Lip-Bu: Getting closer to customers to help them lower design costs.
Aart: This one feels different, a "reset" of global standard of living. The impact is that all of EDA, hi-tech, and semiconductor will be about increasing efficiency. EDA is facing either a "massive squeeze" or a "massive opportunity".


My comments: While the CEOs attempted to to be upbeat for the most part, there is still a lot of uncertainty. Aart was the most realistic, putting the impact of the economic meltdown on EDA into a broader perspective. He even questioned Juan-Antonio on his "optimistic" assessment.

Keep in mind that the "we" represented here is just the big-3 of EDA. Smaller, less diversified EDA companies are suffering more. Aart pointed to consolidation of manufacturing in the semiconductor industry as an example of increasing efficiencies. The same rule must hold for the EDA industry as well. We can expect consolidation through attrition, more than acquisition, to eliminate redundant products and weaker companies.


Top


3. What are customer CEOs saying?
Aart: We now get access to CEOs, who are calling for help through lower pricing. This is an opportunity to treat endemic problems. i.e. the overall cost of design.

Lip-Bu: Reducing time-to-market and design cost are critical. Building ecosystem of partners, helping more through IP and services so that customers can concentrate on core competencies.
Wally: Cost of EDA software has stayed same at a constant percentage of semiconductor revenue. Cost of system design is the issue. EDA has opportunity to deal with the "whole problem".


My comments: Economic leverage resides in the customers, who will continue to apply economic pressures on their vendors, even more so than in the past. The holistic view, which came up again later, is the right one to have. The question is, are the major EDA vendors willing to structure their operations to address the "whole problem", instead of the current structure of individual business units focused just their piece of the problem?


Top


4. Innovation and venture capital investment in fabless companies.
Lip-Bu: Investment is declining substantially, down 70%. Average investment required is $75-$100M but average exit is only $55-$60M, so just can't make money. Hoping for "up & coming" (e.g. nVidia) companies that will buy more tools.
Aart: One of the things startups have is no legacy, so they can start on a new design methodology from the outset.

Wally: Still sees "lots of companies" started, but more in analog and RF. The fact that more companies are fabless or fab-light increases opportunity for EDA.


My comments: Lip-Bu mentioned that "we all have a role to play". That notion would align with TSMC's "community business model", but are all the players willing to cooperate to make that happen?

Aart mentioned the need to limit the degrees of freedom in design, in order to keep costs under control, which I interpret as a more IP-based design methodology. This would align well with Synopsys' IP business.

Wally's comment on seeing more analog and RF startups is interesting. This should be an opportunity for more innovation in analog EDA, which is likely to come more from EDA startups.
Top


5. What advice do you have for EDA entrepreneurs?
Lip-Bu: "Find a real tough problem and try to solve it" and "listen to the customer". Opportunities in higher level of abstraction, low power, DFM. "Partner with one of us".
Wally: "Don't run out of money". Much of EDA is a mature market, almost all growth over last 10 years has been from DFM, ESL, some analog/RF. Look at things that big companies overlook.

Aart: Been watching with increased worry that startups are too focused on doing something incremental to get bought out. Need to work on something that has bigger impact than incremental.


My comments: In a reversal of the "preferred vendor" sales strategy of recent years, Lip-Bu pointed out that many companies don't want to work with a single vendor, leaving opportunities for point tools. In regards to Aart's comment on the quick-exit mindset in startups, doesn't EDA have to take a lot of the blame for the innovation through acquisition strategies of the past? I do agree though, EDA entrepreneurs must focus on being profitable and differentiated because the exit strategies of the past no longer exist.

Top


6. How strong is the trend to customer consolidation?
Aart: Now seeing attrition through bankruptcies. Thinks we will see a third wave later this year or next year, since the recession will go on for a while.

Wally: Customers are consolidating manufacturing but not total number of companies. Market share does not change, but the names of the companies do.

Lip-Bu: Overall, consolidation is good to get companies to focus on core competencies.



My comments: All of the comments point to further contraction in the EDA industry.

Top


7. Do you agree that EDA could get a larger share of semiconductor revenue? (Or.. are you getting an unfair share?)
Aart: That's a "b.s." question. There is an opportunity to get more share, but only on the basis of an economic push. "There has to be a massive focus on productivity.
"
Wally: For EDA to get more means that someone else must get less. Other opportunity is in other industries; such as automotive and aerospace.
Lip-Bu: Huge opportunity in the increased amount of verification and debugging required, system-level, and combined analog/mixed-signal in SoCs will be be "really big".


My comments: It should be obvious that EDA revenues will not reverse their decline while semiconductor revenues continue to decrease. (Aart estimated -25% for semis this year). This again points to further contraction in EDA. Moving into adjacent industries and offering more comprehensive solutions are potential long-term strategies, but are not likely to change the economics in the near-term. CAD tools for the automotive and aerospace industries are nothing new. Take a look at Autodesk, which is older and larger than any EDA company.

Top


8. Design services and silicon IP
Lip-Bu: Sees "tremendous growth" in design services.
Wally: IP increasing as percent of TAM for the industry. EDA can provide services better than anyone else. Growth of software will match growth of services.
Aart: IP is not a "thing", part of a design methodology, a gradual evolution to assembly of building blocks.



My comments: Interesting that Aart focused on IP while the other CEOs focused on services. His comments on the building-block approach go along with his answers to the 4th question. The Synopsys vision is one where what was once board-level design is now a system-on-a-chip. The IP play is one way to participate in that paradigm shift, and it is actually a lot easier than building a "whole-chip" solution . In the past, there were concerns that EDA companies (and foundries) developing IP would be competing with their customers. Economics and scale complexity may have changed that.

Top


9. Do you see EDA moving into automating design in other industries?
Wally: Great opportunity for EDA in adjacent industries. Almost an "unlimited opportunity".
Lip-Bu: Medical research industry can be an interesting opportunity.
Aart: Challenge is to be specific. "Grass always looks so much greener", not that easy. Opportunities are in immediate adjacencies, power is a big spectrum of issues.


My comments: I was in EDA for fifteen years, so I know this won't be the first time someone speculated on this, but it would be more likely for a system CAD company to move down to EDA than for EDA to try to move up. On this question, I also thought that Aart had the most realistic answer. EDA companies will only be able to branch out through very close partnering with customers. New ventures would likely be required, whether to address power or other problems. Once again, see the TSMC community-based business model.

Top


10. Is EDA addressing 3D?
Wally: Hot area now, but customers probably won't be willing to pay a premium. Will need 3D packaging to move beyond Moore's Law.

Lip-Bu: Cadence is a "leader in System on a Chip packaging". Heavily engaging with customers.
Aart: 3D is not new. What is most intriguing is changing type of components in the stack; FPGAs, MEMs, etc. Impact on yield is a problem.


My comments: Aart is right, 3D is not new. I recall more than twenty years ago when the U.S. Defense Dept. was funding research for a massively parallel computer. "Massively paralllel" in those days meant physically parallel - stacking multiple processors in a single package. Sound familiar? What was once radical thinking will become more mainstream, but it's also no different than SiP or MCMs. EDA did not do too much there because the problems are mechanical as well as electrical, not exactly their forte. This is a potential growth area however.

Top


11. What are you doing operationally to deal with market pressures?
Wally: "I'm flying coach".
Lip-Bu: Run Cadence like a startup.

Aart: "I serve snacks to Wally". Helping customers survive.


My comments: Once again, as at DvCon, nobody wanted to use the "L word".

Top


12. What is being done to develop EDA talent?
Wally: Single most important thing we do. Finding talent wherever it is.
Aart: Management does not have answers on right things to do. Thinks there is nothing more motivating than working on problems in difficult times. Retain employees who care about the company mission.

Lip-Bu: Create a culture of "sticking together" on shared mission.



My comments: Interesting emphasis on off-shoring, or globalization, by Wally. Aart referred to intellectual rewards to make up for loss of economic rewards, and was finally the first CEO to mention not retaining some employees if they can't "embrace the company mission".

Top


13. How to keep students working on EDA problems?
Aart: Start before university level. Need to keep kids in school. More important to be well-rounded.

Lip-Bu: U.S. needs more engineers.

Wally: The Semiconductor Research Corporation (SRC) allocates half of their funding to design-related research.



My comments: I don't know anybody that got a degree in EDA, so Aart was right to point to the larger issue of math and science education. Otherwise, this was a silly question. Students don't generally work on EDA problems until they are in grad school. With the large (unspoken here) number of EDA layoffs that have occurred, there is a sound economic reason that students may not pursue an EDA career.

Top


14. What is the next silver bullet to have a substantial impact on design costs?
Aart: Problem is now systemic complexity. Need more people who can see how entire design flow works together.
Lip-Bu: No silver bullets, repeated need for verification and system-level solutions.
Wally: Improvements needed in all phases of design. Look at system end of design where costs are growing, especially embedded software.



My comments: Most interesting to me was Aart's comment that there is an "awesome opportunity" for people who can understand and see multiple aspects of the design problem, and "how to make the entire design flow work together".

At DAC, I was reminded by an old friend from my Cadence days what a great accomplishment it was that we had built an actual, working, top-to-bottom, AMS reference flow. We put a prototype design through it and made sure that perhaps a dozen or more analog and digital tools worked together. We had mixed-signal circuits, IP, we had a memory block, we had synthesized logic... just about all the elements you would see in an SoC today. It was never meant to be productized (though an attempt was made and it failed), it was meant to show that we could address the entire flow.

The most important element, that I think is still lacking in EDA, is structuring the businesses around the flow and not around the point tools. That is the problem that needs to be solved today, especially when you look at incorporating system-level design. At DAC, during Hogan's Heroes pavilion panel, there was even a mention of variability being a problem at system level.

But EDA companies reward individual business units and product lines. Who owns the whole flow, including analog and digital? Will any EDA company structure themselves to address the whole-chip problem?

Top


15. Closing comments
Aart: At a crossroads moment, where success is a global challenge. Technology is the only way we can address some of the problems.
Lip-Bu: Recovery is on the horizon. New technology and consumer products need EDA.

Wally: Electronics industry is unique in ability to respond to economic disruptions. Predicts that next year at this time we will report a growth quarter for EDA.


My comments: The concluding optimistic remarks were not entirely consistent with the earlier discussions. EDA may begin an upward trend one year from now, but how much more contraction and attrition will occur before that happens?


Top

2 comments:

Anonymous said...

Mike,
I resonate with agreement on your point that EDA companies have organized around product lines and not flows. There is a General Manager for Digital Implementation, then a different GM for Analog plus Mixed-Signal. Because no single GM owns the entire flow, the tools from a single EDA vendor tend to be suboptimal.

Daniel

Hillol Sarkar said...

Happy New Year
Hillol Sarkar
www.ago-inc.com